GY-63_MS5611/libraries
2023-01-21 18:47:27 +01:00
..
A1301 0.1.2 A1301 2023-01-03 20:01:29 +01:00
ACS712 0.3.5 ACS712 2023-01-18 13:43:31 +01:00
AD56X8 0.1.3 AD56X8 2023-01-18 19:45:11 +01:00
AD520X 0.3.1 AD520X 2023-01-10 20:37:57 +01:00
AD524X 0.3.6 AD524X 2023-01-11 16:35:57 +01:00
AD985X 0.3.5 AD985X 2023-01-11 16:49:14 +01:00
AD5144A 0.2.0 AD5144A 2023-01-11 18:05:58 +01:00
AD5245 0.1.2 AD5245 2023-01-16 14:38:52 +01:00
Adler 0.2.3 Adler 2023-01-16 16:33:37 +01:00
ADS1x15 0.3.9 ADS1x15 2023-01-21 11:46:58 +01:00
ADT7470 0.1.4 ADT7470 + update build-ci 2023-01-21 14:13:50 +01:00
AGS02MA 0.3.3 AGS02MA 2023-01-21 14:28:43 +01:00
AM232X 0.4.5 AM232X 2023-01-12 17:33:55 +01:00
AM2315 0.1.7 AM2315 2023-01-21 15:19:08 +01:00
AnalogKeypad 0.2.2 AnalogKeypad 2022-10-27 17:49:36 +02:00
AnalogPin 0.2.7 AnalogPin 2022-10-28 21:33:10 +02:00
AnalogUVSensor 0.1.3 AnalogUVSensor 2022-10-28 21:40:39 +02:00
Angle 0.1.13 Angle 2022-10-29 12:45:36 +02:00
AngleConvertor fix typo 2022-12-21 21:18:05 +01:00
ANSI 0.1.7 ANSI 2022-10-29 12:44:27 +02:00
AS5600 0.3.4 AS5600 2022-12-22 14:03:01 +01:00
AsyncAnalog add funding.yml 2022-08-03 21:56:07 +02:00
AtomicWeight 0.1.3 AtomicWeight 2023-01-02 13:02:36 +01:00
AverageAngle 0.1.9 AverageAngle 2022-12-07 20:30:13 +01:00
AvrHeap 0.2.5 AVRheap + github actions 2023-01-21 14:57:54 +01:00
BH1750FVI_RT 0.2.10 BH1750FVI_RT 2022-10-29 14:25:01 +02:00
BitArray 0.2.5 BitArray 2022-10-29 15:54:56 +02:00
bitHelpers 0.1.9 bitHelpers 2022-10-29 15:51:15 +02:00
BoolArray 0.2.6 BoolArray 2022-10-29 17:05:43 +02:00
CHT8305 0.1.5 CHT8305 2022-10-29 18:55:26 +02:00
Complex 0.3.2 Complex + update Github actions 2023-01-21 18:47:27 +01:00
Correlation 0.2.3 Correlation 2022-10-30 18:12:10 +01:00
CountDown 0.3.0 CountDown 2023-01-11 11:04:32 +01:00
Cozir 0.3.6 Cozir 2022-10-30 20:10:57 +01:00
CRC 0.3.3 CRC 2023-01-17 16:18:12 +01:00
Currency 0.1.7 Currency 2022-10-30 20:44:02 +01:00
DAC8550 0.1.3 DAC8550 2022-10-31 16:21:38 +01:00
DAC8551 0.2.6 DAC8551 2022-10-31 16:41:19 +01:00
DAC8552 0.2.4 DAC8552 2022-10-31 16:47:29 +01:00
DAC8554 0.2.5 DAC8554 2022-10-31 16:49:34 +01:00
dateTimeHelpers 0.1.2 dateTimeHelpers 2023-01-18 16:09:51 +01:00
DEVFULL 0.1.2 DEVFULL 2022-10-31 19:44:49 +01:00
DEVNULL 0.1.5 DEVNULL 2022-10-31 19:46:02 +01:00
DEVRANDOM 0.2.1 DEVRANDOM 2022-10-31 19:50:00 +01:00
DHT2pin 0.1.3 DHT2pin 2022-11-01 20:26:33 +01:00
DHT12 0.3.3 DHT12 2022-11-01 21:00:49 +01:00
DHT20 0.2.1 DHT20 2022-12-21 21:48:21 +01:00
DHT_Simulator add rp2040 to build-ci 2022-11-01 21:08:53 +01:00
DHTINT 0.1.3 DHTINT 2022-11-02 11:22:23 +01:00
DHTlib add funding.yml 2022-08-03 21:56:07 +02:00
DHTNEW 0.4.18 DHTNEW 2023-01-09 16:55:27 +01:00
DHTstable sync repos (mostly keeping build happy) 2022-10-01 13:17:26 +02:00
DistanceTable 0.3.2 DistanceTable 2022-11-02 11:24:05 +01:00
DMM 0.1.1 DMM 2022-12-21 21:16:14 +01:00
DRV8825 0.1.3 DRV8825 2022-11-02 11:52:32 +01:00
DS18B20_INT 0.2.1 DS18B20_INT 2022-11-02 15:15:02 +01:00
DS18B20_RT 0.1.13 DS18B20_RT 2022-11-02 15:21:25 +01:00
DS28CM00 0.2.5 DS28CM00 2022-11-02 11:55:17 +01:00
DS1821 0.3.4 DS1821 2022-11-02 19:58:31 +01:00
ellipse 0.1.2 ellipse 2022-11-02 20:25:44 +01:00
fast_math 0.2.1 fast_math 2022-12-26 10:01:34 +01:00
FastMap 0.4.0 FastMap 2022-11-05 10:57:25 +01:00
FastShiftIn 0.3.1 FastShiftin 2022-11-16 16:07:44 +01:00
FastShiftInOut 0.1.2 FastShiftInOut 2022-11-06 20:27:03 +01:00
FastShiftOut 0.3.0 FastShiftOut 2022-11-06 20:23:19 +01:00
FastTrig 0.3.2 FastTrig 2022-12-22 13:44:04 +01:00
FLE 0.1.3 FLE 2022-12-08 17:29:26 +01:00
Fletcher 0.1.8 Fletcher 2023-01-17 19:28:45 +01:00
float16 0.1.7 float16 2022-11-07 14:26:49 +01:00
Fraction 0.1.14 Fraction 2022-11-07 15:02:34 +01:00
FRAM_I2C fix build-CI 2023-01-17 19:32:27 +01:00
FunctionGenerator 0.2.4 functionGenerator 2022-11-07 15:28:23 +01:00
GAMMA 0.3.1 GAMMA 2022-11-08 17:03:54 +01:00
GST 0.1.2 GST 2022-11-08 17:02:31 +01:00
GY521 0.3.8 GY521 2022-10-08 15:41:16 +02:00
HeartBeat 0.3.2 Heartbeat 2022-11-09 10:31:43 +01:00
Histogram 0.3.2 Histogram 2022-11-09 10:42:12 +01:00
hmc6352 0.3.2 hmc6352 2022-11-09 14:34:02 +01:00
HT16K33 0.3.7 HT16K33 2022-11-20 10:12:01 +01:00
HX711 0.3.4 HX711 (most was merged 6 nov) 2022-11-12 09:56:01 +01:00
I2C_24LC1025 0.2.2 I2C_24LC25 2022-11-12 15:41:55 +01:00
I2C_ASDX 0.3.3 I2C_ASDX 2023-01-12 19:55:08 +01:00
I2C_EEPROM 1.7.1 I2C_EEPROM 2023-01-12 17:52:04 +01:00
I2C_SCANNER 0.1.3 I2C_SCANNER 2022-11-12 17:08:10 +01:00
I2CKeyPad add 0.3.3 I2CKeyPad 2022-11-12 17:25:54 +01:00
I2CKeyPad8x8 0.1.1 I2CKeyPad8x8 2022-11-13 09:44:30 +01:00
IEEE754tools 0.2.4 IEEE754tools 2022-11-13 09:47:33 +01:00
INA219 0.1.2 INA219 2022-11-14 17:31:55 +01:00
INA226 0.4.1 INA226 2022-11-14 18:55:30 +01:00
infiniteAverage 0.1.6 infiniteAverage 2022-11-14 19:12:40 +01:00
Interval 0.1.3 Interval 2022-11-14 19:10:33 +01:00
Kelvin2RGB 0.1.5 Kelvin2RGB 2022-11-14 20:29:43 +01:00
LineFormatter 0.1.5 LineFormetter 2022-11-14 20:50:36 +01:00
LTC2991 update examples 2023-01-03 20:01:56 +01:00
LUHN update license 2023-01-17 16:38:46 +01:00
M62429 0.3.6 M62429 2022-11-15 10:47:38 +01:00
map2colour 0.1.5 map2colour 2022-10-20 11:58:00 +02:00
MathHelpers fix #144 added bin and hex formatter with #digits 2020-02-29 15:32:34 +01:00
Max44009 0.5.3 Max44009 2022-11-16 16:00:38 +01:00
MAX6675 0.1.2 MAX6675 2022-11-16 13:14:42 +01:00
MAX14661 0.1.3 MAX14661 2022-11-16 15:18:12 +01:00
MAX31850 0.1.1 MAX31850 2022-11-16 20:52:35 +01:00
MAX31855_RT 0.4.2 MAX31855_RT 2022-11-16 15:46:22 +01:00
MAX44007 0.1.2 MAX44007 2022-11-16 16:03:33 +01:00
MCP23S08 0.1.2 MCP23S08 2022-11-17 13:36:23 +01:00
MCP23S17 0.2.3 MCP23S17 2022-10-24 11:13:46 +02:00
MCP4725 0.3.5 MCP4725 2023-01-16 17:33:16 +01:00
MCP9808_RT 0.2.2 MCP9808_RT 2022-11-17 14:21:33 +01:00
MCP23008 0.1.2 MCP23008 2022-11-17 16:12:58 +01:00
MCP23017_RT 0.3.2 MCP23017_RT 2022-11-17 16:46:22 +01:00
MCP_ADC 0.1.9 MCP_ADC 2022-11-17 13:00:17 +01:00
MCP_DAC 0.1.8 MCP_DAC 2022-10-19 11:48:25 +02:00
MHZCO2 0.1.2 MHZCO2 2023-01-18 16:59:34 +01:00
MINMAX 0.2.0 MINMAX 2022-12-07 14:58:50 +01:00
ML8511 0.1.9 ML8511 2022-11-17 20:12:05 +01:00
moduloMap 0.1.1 moduloMap 2022-10-23 10:36:41 +02:00
MS5611 0.3.9 MS5611 2022-10-27 16:52:08 +02:00
MS5611_SPI 0.1.2 MS5611_SPI 2022-12-18 16:28:36 +01:00
MSP300 0.1.0 MSP300 2022-12-06 20:03:12 +01:00
MT8870 0.1.5 MT8870 2022-11-18 13:36:00 +01:00
MTP40C 0.2.2 MTP40C 2022-11-18 14:05:26 +01:00
MultiMap 0.1.6 MultiMap 2022-11-18 15:09:05 +01:00
Multiplex 0.2.6 Multiplex 2023-01-19 19:18:30 +01:00
MultiSpeedI2CScanner 0.1.16 MultiSpeedI2CScanner 2023-01-20 19:51:58 +01:00
NibbleArray 0.2.4 NibbleArray 2022-11-18 15:35:51 +01:00
optoma 0.1.4 optoma 2022-11-18 15:37:45 +01:00
palindrome 0.1.2 palindrome 2022-11-19 13:03:21 +01:00
Par27979 0.2.4 Par27979 2022-11-19 14:49:48 +01:00
ParallelPrinter 0.2.5 ParallelPrinter 2022-11-19 15:28:46 +01:00
ParPrinter update libraries M..P 2020-11-27 11:28:57 +01:00
PCA9634 0.2.5 PCA9634 2023-01-19 19:09:52 +01:00
PCA9635 0.4.2 PCA9635 2022-11-19 16:54:49 +01:00
PCA9685_RT 0.4.1 PCA9685_RT 2022-11-19 17:04:25 +01:00
PCF8574 0.3.7 PCF8574 2022-11-16 12:26:14 +01:00
PCF8575 0.1.7 PCF8575 2022-11-21 20:26:00 +01:00
PCF8591 0.1.3 PCF8591 2022-11-21 21:33:51 +01:00
PERIPUMP 0.1.1 PERIPUMP 2022-10-15 15:38:12 +02:00
PID_RT 0.1.5 PID_RT 2022-11-22 11:48:02 +01:00
PinInGroup 0.1.8 PinInGroup 2022-11-22 12:37:06 +01:00
PinOutGroup 0.1.7 PinOutGroup 2022-11-22 13:46:07 +01:00
PIR 0.1.2 PIR 2022-12-16 17:17:36 +01:00
Prandom 0.1.5 Prandom 2022-11-22 14:03:23 +01:00
pressure 0.2.2 pressure 2022-11-22 14:57:22 +01:00
PrintCharArray 0.3.3 PrintCharArray 2022-11-22 15:51:21 +01:00
printHelpers 0.3.0 printHelpers 2022-11-29 17:12:53 +01:00
PrintSize 0.3.3 PrintSize 2022-11-22 17:00:39 +01:00
PrintString 0.2.3 PrintString 2022-11-22 17:02:48 +01:00
PulsePattern 0.1.6 PulsePattern 2022-11-22 17:20:26 +01:00
Radar 0.1.7 RADAR 2022-11-23 13:53:23 +01:00
rain 0.1.3 RAIN 2023-01-20 17:42:39 +01:00
randomHelpers 0.2.5 randomHelpers 2022-11-23 13:56:17 +01:00
relativity 0.1.4 relativity 2022-11-23 14:54:09 +01:00
rotaryDecoder 0.1.3 rotaryDecoder 2022-11-23 15:19:55 +01:00
rotaryDecoderSwitch 0.1.3 rotaryDecoderSwitch 2022-11-23 15:30:52 +01:00
RS485 0.2.3 RS485 2022-11-23 16:14:02 +01:00
runningAngle 0.1.5 runningAngle 2022-11-23 17:07:12 +01:00
RunningAverage 0.4.3 RunningAverage 2022-11-23 19:22:02 +01:00
RunningMedian 0.3.7 RunningMedian 2022-11-06 10:24:44 +01:00
Set 0.2.6 SET 2022-11-24 11:20:43 +01:00
SGP30 0.1.6 SGP30 2022-11-24 11:22:37 +01:00
SHEX 0.3.1 SHEX 2022-11-24 12:47:19 +01:00
shiftInSlow 0.1.2 shiftInSlow 2022-11-24 12:49:27 +01:00
shiftOutSlow 0.1.3 shiftOutSlow 2022-11-24 12:51:14 +01:00
SHT2x 0.2.2 SHT2x 2022-12-18 10:41:37 +01:00
SHT31 0.3.7 SHT31 2022-11-24 14:10:52 +01:00
SHT85 0.3.3 SHT85 2022-11-24 14:11:40 +01:00
SIMON 0.1.1 SIMON 2022-11-24 14:35:07 +01:00
Soundex 0.1.3 Soundex 2022-11-24 20:21:52 +01:00
SparseArray 0.1.1 SparseArray 2022-11-25 14:20:28 +01:00
SparseMatrix 0.1.4 SparseMatrix 2022-11-25 14:22:25 +01:00
SRF05 0.1.3 SRF05 2022-11-25 19:11:37 +01:00
statHelpers 0.1.6 statHelpers 2022-11-25 19:13:30 +01:00
Statistic 1.0.1 Statistic 2022-11-25 19:45:57 +01:00
Stopwatch_RT 0.3.4 StopWatch_RT 2023-01-11 20:05:21 +01:00
TCA9548 0.1.3 TCA9548 2022-11-26 13:02:08 +01:00
TCA9555 0.1.5 TCA9555 2023-01-12 20:18:00 +01:00
Temperature bump version string 2022-11-27 09:30:41 +01:00
timing 0.2.4 timing 2022-11-26 14:28:31 +01:00
tinySHT2x 0.1.2 tinySHT2x 2022-11-26 14:30:01 +01:00
TM1637_RT 0.3.4 TM1637_RT 2022-10-07 11:32:52 +02:00
Troolean 0.1.7 Troolean 2022-12-02 14:12:22 +01:00
TSL235R 0.1.3 TSL235R 2022-11-26 17:40:57 +01:00
TSL260R 0.1.2 TSL260R 2022-11-28 12:34:24 +01:00
UUID 0.1.4 UUID 2022-11-27 09:39:34 +01:00
uv-sensor 0.1.1 uv-sensor 2022-12-22 14:36:21 +01:00
VT100 0.1.0 VT100 obsolete => use ANSI library 2022-12-03 14:10:49 +01:00
WaveMix 0.1.3 WaveMix 2022-11-27 10:36:01 +01:00
weight 0.2.0 weight 2022-12-05 20:23:09 +01:00
X9C10X 0.2.2 X9C10X 2022-11-27 11:27:05 +01:00
XMLWriter 0.3.4 XMLWriter 2023-01-16 16:07:12 +01:00